首页 接线图文章正文

可在0~-180度范围内变化的-90度移相电路图

接线图 2024年03月08日 11:01 56 admin

  电路的功能

  “具有平坦频率特性的±90度的移相电路”的移相电路只能在0~+180度范围内移相,可使用CO与RO位置互换的-90度的移相电路。

可在0~-180度范围内变化的-90度移相电路图  第1张


  电路的工作原理

  基本工作原理与“具有平坦频率特性的±90度的移相电路”相同,只是改变了相位的极性。这里只说明相位可变范围的计算方法。FO=1KHZ,φ=-60~-120度,CO=0.01UF时,RO=15.92K,若RO可变,相位角φ=-2TAN的-1次方(RX/R0),当RX=RO时φ为90度。

  如果令A=TAN(φ/2),那么当φ=-60度时,A=0.577,φ=-120度时,A=1.732,因此,RX的最小值RMIN为9.147K(RMIN≤R0*A(60)=9.17K),RX的值为27.55K(RMAX≥R0*A(120)=27.55K)。若用一个9.1K的电阻和一个20K的可变电阻构成RX,实际的相位变化范围为:

可在0~-180度范围内变化的-90度移相电路图  第2张


  由此可知,这一相位变化范围可以满足使用要求。实际上电容器C0会有误差,可变电阻可变范围该稍大一些。

可在0~-180度范围内变化的-90度移相电路图  第3张




  
版权与免责声明

本网转载并注明自其它出处的作品,目的在于传递更多信息,并不代表本网赞同其观点或证实其内容的真实性,不承担此类作品侵权行为的直接责任及连带责任。其他媒体、网站或个人从本网转载时,必须保留本网注明的作品出处,并自负版权等法律责任。

如涉及作品内容、版权等问题,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。

标签: 电阻 可变电阻 电容器 变电阻

发表评论

接线图网Copyright Your WebSite.Some Rights Reserved. 备案号:桂ICP备2022002688号-2 接线图网版权所有 联系作者QQ:360888349